expandMode 0,"ground" wfmAntialiasing 1,"on" storeDemoState 0,"off" screenSaverSelect 2,"logo" screenSaverTimeout 120 screenSaverText KEYSIGHT TECHNOLOGIES delayedMainView 0,"zoomWin" autoscaleMode 1,"custom" autoscaleFastDebugMode 0,"off" autoscaleChan 1,"all" autoscaleTrig 1,"edge" autoscaleTime 1,"auto" autoscaleAcq 1,"normal" digActivityLoc 0,"docked" fiftyOhmImpedLock 0,"off" lanLedDisplayMode 0,"lan" displayMeasStats 0,"off" dialogTransparency 1,"solid" remoteLang 0,"InfiniiVision" quickActionMode 0,"off" muxKnobMode 0,"off" deepAnalysisMode 0,"off" analysisLength 100000 fullAnalysisState 0,"off" gratSelectMode 1,"box" fileBrowserViewMode 0,"list" userIdnString KEYSIGHT TECHNOLOGIES,DSO-X 3024T,MY60104433,07.40.2021031200 animationState 1,"on" nuiSelChan 0,"ch1" speakerVolume 0 beepSel 0,"beepOnSingle" beepOnSingle 0,"off" beepOnTrigger 0,"off" beepOnMaskFail 0,"off" beepOnDvmLimit 0,"off" beepOnLongOperation 0,"off" beepOnCal 0,"off" timeMode 0,"normal" zoomMode 0,"off" segmentedState 0,"off" runMode 0,"stop" timeRef 1,"center" mainRef 4602678819172646912 mainScale 4548482861544840552 mainDelay 4558322758356283840 mainScaleLeftEdge -4676364914835832019 mainScaleRightEdge 4547007122018943789 dlydScale 4512825593480736141 dlydDelay 0 dlydScaleLeftEdge -4676364914835832019 dlydScaleRightEdge 4547007122018943789 timeVernier 1,"on" wfmMemLockTime 1,"on" mathSPlotTimeMode 0,"normal" mathSPlotTimeRange 4621819117588971520 mathSPlotTimeOffset 0 pxiTrigMode 0,"off" trigMode 1,"edge" trigCoup 1,"dc" trigHfRej 0,"off" trigNRej 0,"off" trigHoldoff 4496133457586457658 trigHoldoffMin 4496133457586457658 trigHoldoffMax 4496889036223716801 trigHoldoffRandomState 0,"off" trigSweepMode 1,"auto" pxiTrigLine 1,"pxiTrig0" pxiArmLine 0,"off" pxiArmLinesSelect 1,"pxiTrig0" pxiArmLinesState 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" pxiMasterSlotNum 2 pxiSync 0,"off" zoneTrigZone1State 0,"off" zoneTrigZone2State 0,"off" zoneTrigMasterState 0,"off" zoneTrigSrc 0,"ch1" zoneTrigZone1Qual 0,"mustIntersect" zoneTrigZone2Qual 0,"mustIntersect" zoneTrigSelection 0,"zone1" zoneTrigZone1NumPoints 2 zoneTrigZone2NumPoints 2 zoneTrigZone1X1 4547007122018943789 zoneTrigZone1X2 4547007122018943789 zoneTrigZone2X1 4547007122018943789 zoneTrigZone2X2 4547007122018943789 zoneTrigZone1Y1 4617315517961601024 zoneTrigZone1Y2 4617315517961601024 zoneTrigZone2Y1 4617315517961601024 zoneTrigZone2Y2 4617315517961601024 zoneTrigZone1X1Grid 4547007122018943789 zoneTrigZone1X2Grid 4547007122018943789 zoneTrigZone2X1Grid 4547007122018943789 zoneTrigZone2X2Grid 4547007122018943789 zoneTrigZone1Y1Grid 4617315517961601024 zoneTrigZone1Y2Grid 4617315517961601024 zoneTrigZone2Y1Grid 4617315517961601024 zoneTrigZone2Y2Grid 4617315517961601024 zoneTrigZone1Valid 0,"valid" zoneTrigZone2Valid 0,"valid" zoneTrigHwState 0,"off" edgeSrc 0,"ch1" edgeSlope 1,"positive" glitchSrc 0,"ch1" glitchPolarity 1,"positive" glitchMode 1,"lt" glitchMinWidth 4491629857959087162 glitchMaxWidth 4494622300311939371 glitchRangeMinWidth 4491629857959087162 glitchRangeMaxWidth 4494622300311939372 tvSrc 0,"ch1" tvStd 0,"ntsc" tvPolarity 0,"negative" tvMode 0,"field1" tvLine 1 tvGenTime 4538295070669382149 tvGenEdge 1 tvHorzSyncEnable 0,"off" tvHorzSync 0 patnPattern XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX patnQual 0,"entered" patnChan 0,"ch1" seqPattern1 XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX seqPattern2 XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX seqE1Src -1 seqE1Slope 1,"positive" seqE2Src -1 seqE2Slope 1,"positive" seqFind 0,"p1Enter" seqTrigger 0,"p2Enter" seqReset 0,"none" seqEventCount 1 seqTimeout 4502148214488346440 nthEdgeSrc 0,"ch1" nthEdgeSlope 1,"positive" nthEdgeIdleTime 4512825593480736141 nthEdgeEdgeNum 1 trigLevelSel 0,"norm" edgeTransSrc 0,"ch1" edgeTransSlope 1,"positive" edgeTransQual 2,"gt" edgeTransQualTime 4491629857959087162 runtSrc 0,"ch1" runtPolarity 1,"positive" runtQual 0,"none" runtQualTime 4491629857959087162 setupHoldClkSrc 0,"ch1" setupHoldDataSrc 1,"ch2" setupHoldClkSlope 1,"positive" setupHoldSetupTime 4476910133257361045 setupHoldHoldTime 4476910133257361045 usbBitRate 12,"b12M" usbTrig 0,"sop" usbDpSrc 0,"ch1" usbDnSrc 1,"ch2" orData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX delayArmSrc 0,"ch1" delayArmSlope 1,"positive" delayTrigSrc 1,"ch2" delayTrigSlope 1,"positive" delayTime 4481413732884731541 delayEvents 1 nfcTrigSrc 0,"ch1" nfcTrigStd 0,"a" nfcTrigDeviceMode 0,"poll" nfcTrigEvent 4,"either" nfcTrigArmEvent 1,"sensReq" nfcTrigTimeout 4591870180066957722 nfcTrigOnTimeout 1,"on" nfcTrigRevPolarity 0,"off" nfcTrigModDepth 10 nfcTrigModAvgLength 928102167 nfcTrigModDetectLength 928102167 trigHoldoffTvField 0 serialBusSel 0,"serial1" serialBusMuxIndex 0,"serial1" serialDecodeMode 1,"i2c" 1,"i2c" 1,"i2c" 1,"i2c" serialDecodeState 0,"off" 0,"off" 0,"off" 0,"off" serialDecodeMasterState 0,"off" symbolicLoadDest 0,"serial1" i2cClkSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" i2cDataSrc 1,"ch2" 1,"ch2" 1,"ch2" 1,"ch2" i2cTrig 0,"start" 0,"start" 0,"start" 0,"start" i2cAddr -1 -1 -1 -1 i2cDataQual 2,"equal" 2,"equal" 2,"equal" 2,"equal" i2cData -1 -1 -1 -1 i2cData2 -1 -1 -1 -1 i2cDecodeAddrMode 0,"addr7Bit" 0,"addr7Bit" 0,"addr7Bit" 0,"addr7Bit" spiTrigger 0,"mosi" 0,"mosi" 0,"mosi" 0,"mosi" spiClkSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" spiMosiSrc 1,"ch2" 1,"ch2" 1,"ch2" 1,"ch2" spiMisoSrc 2,"ch3" 2,"ch3" 2,"ch3" 2,"ch3" spiFrame 0,"notCs" 0,"notCs" 0,"notCs" 0,"notCs" spiFrameSrc 3,"ch4" 3,"ch4" 3,"ch4" 3,"ch4" spiClkPolarity 1,"positive" 1,"positive" 1,"positive" 1,"positive" spiMosiDataLength 8 8 8 8 spiData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX spiMisoDataLength 8 8 8 8 spiMisoData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX spiTimeout 4532020583610935537 4532020583610935537 4532020583610935537 4532020583610935537 spiBitOrder 0,"msb" 0,"msb" 0,"msb" 0,"msb" serialDecodeWordSize 8 8 8 8 spiSummaryEnable 0,"off" spiBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" spiDelay 0 0 0 0 spiTruncate 0 0 0 0 linSignal 6,"lin" 6,"lin" 6,"lin" 6,"lin" linBitRate 15,"b19_2k" 15,"b19_2k" 15,"b19_2k" 15,"b19_2k" linUserBitRate 2400 2400 2400 2400 linDisplayMode 0,"hex" 0,"hex" 0,"hex" 0,"hex" linTrig 0,"sync" 0,"sync" 0,"sync" 0,"sync" linSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" linFrameId -1 -1 -1 -1 linDataBytes 4 4 4 4 linData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX linStandard 0,"std1_3" 0,"std1_3" 0,"std1_3" 0,"std1_3" linSyncBreak 2,"sb13" 2,"sb13" 2,"sb13" 2,"sb13" linSampleLocation 0,"per60" 0,"per60" 0,"per60" 0,"per60" linParityState 0,"off" 0,"off" 0,"off" 0,"off" linSymbolicFrmIndex 0 0 0 0 linSymbolicSigIndex 0 0 0 0 linSymbolicValType 1,"encoded" 1,"encoded" 1,"encoded" 1,"encoded" linSymbolicValIndex 0 0 0 0 linSymbolicVal 0 0 0 0 linSymbolicValUnit linSymbolicAddr XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX linSymbolicDataBytes 4 4 4 4 linSymbolicData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX frayTrig 0,"frame" 0,"frame" 0,"frame" 0,"frame" frayError 0,"allErrs" 0,"allErrs" 0,"allErrs" 0,"allErrs" frayEvent 0,"wake" 0,"wake" 0,"wake" 0,"wake" frayEventSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" frayBitRate 19,"b10M" 19,"b10M" 19,"b10M" 19,"b10M" frayEventBssSlotHigh 0 0 0 0 frayChan 0,"a" 0,"a" 0,"a" 0,"a" frayCycleCntRep 1 1 1 1 frayCycleCntBase -1 -1 -1 -1 frayFrameType 0,"all" 0,"all" 0,"all" 0,"all" fraySlotId 0 0 0 0 canSignal 4,"canDiffLh" 4,"canDiffLh" 4,"canDiffLh" 4,"canDiffLh" canDisplayMode 0,"hex" 0,"hex" 0,"hex" 0,"hex" canTrig 4,"sof" 4,"sof" 4,"sof" 4,"sof" canSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" canSymbolicMsgIndex 0 0 0 0 canSymbolicSigIndex 0 0 0 0 canSymbolicValType 1,"encoded" 1,"encoded" 1,"encoded" 1,"encoded" canSymbolicValIndex 0 0 0 0 canSymbolicVal 0 0 0 0 canSymbolicValUnit canSymbolicDataBytes 4 4 4 4 canSymbolicData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX canSymbolicAddrMode 0,"std" 0,"std" 0,"std" 0,"std" canSymbolicAddrLength 11 11 11 11 canSymbolicAddr XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX canAddrMode 0,"std" 0,"std" 0,"std" 0,"std" canBitRate 6,"b125k" 6,"b125k" 6,"b125k" 6,"b125k" canUserBitRate 125000 125000 125000 125000 canSampleLocation 4635329916471083008 4635329916471083008 4635329916471083008 4635329916471083008 canFdBitRate 18,"b5M" 18,"b5M" 18,"b5M" 18,"b5M" canFdUserBitRate 5000000 5000000 5000000 5000000 canFdSampleLocation 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 canFdStd 1,"iso_can_fd" 1,"iso_can_fd" 1,"iso_can_fd" 1,"iso_can_fd" canDlc -1 -1 -1 -1 canDataBytes 4 4 4 4 canStartByte 0 0 0 0 canData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX canAddrLength 11 11 11 11 canAddr XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX canFilterTrigById 0,"off" 0,"off" 0,"off" 0,"off" uartRxSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" uartTxSrc 1,"ch2" 1,"ch2" 1,"ch2" 1,"ch2" uartDataLength 8 8 8 8 uartParity 2,"none" 2,"none" 2,"none" 2,"none" uartBitRate 10,"b19_2k" 10,"b19_2k" 10,"b19_2k" 10,"b19_2k" uartUserBitRate 19200 19200 19200 19200 uartPolarity 0,"low" 0,"low" 0,"low" 0,"low" uartBitOrder 1,"lsb" 1,"lsb" 1,"lsb" 1,"lsb" uartTrig 0,"rxStart" 0,"rxStart" 0,"rxStart" 0,"rxStart" uartDataQual 2,"equal" 2,"equal" 2,"equal" 2,"equal" uartData 0 0 0 0 uartBurstCnt 0 0 0 0 uartIdleTime 4572414629676717179 4572414629676717179 4572414629676717179 4572414629676717179 uartBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" uartFrameId -1 -1 -1 -1 uartTrigBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" i2sSclkSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" i2sWsSrc 1,"ch2" 1,"ch2" 1,"ch2" 1,"ch2" i2sSdataSrc 2,"ch3" 2,"ch3" 2,"ch3" 2,"ch3" i2sTxWordSize 8 8 8 8 i2sRxWordSize 8 8 8 8 i2sTrigWordSize 8 8 8 8 i2sDataAlign 0,"i2s" 0,"i2s" 0,"i2s" 0,"i2s" i2sWsPolarity 0,"left" 0,"left" 0,"left" 0,"left" i2sSclkPolarity 1,"positive" 1,"positive" 1,"positive" 1,"positive" i2sDecodeBase 3,"dec" 3,"dec" 3,"dec" 3,"dec" i2sTrig 0,"equal" 0,"equal" 0,"equal" 0,"equal" i2sAudioChan 2,"left" 2,"left" 2,"left" 2,"left" i2sTrigBase 3,"dec" 3,"dec" 3,"dec" 3,"dec" i2sData 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 i2sRangeMin 11110110000000000000000000000000 11110110000000000000000000000000 11110110000000000000000000000000 11110110000000000000000000000000 i2sRangeMax 00001010000000000000000000000000 00001010000000000000000000000000 00001010000000000000000000000000 00001010000000000000000000000000 i2sBitsDefine 2,"data" 2,"data" 2,"data" 2,"data" m1553InputLow 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" m1553Trigger 2,"csStart" 2,"csStart" 2,"csStart" 2,"csStart" m1553Rta -1 -1 -1 -1 m1553Base 0,"hex" 0,"hex" 0,"hex" 0,"hex" m1553Data XXXXXXXXXXX XXXXXXXXXXX XXXXXXXXXXX XXXXXXXXXXX a429Src 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" a429Speed 0,"high" 0,"high" 0,"high" 0,"high" a429SigType 2,"diff" 2,"diff" 2,"diff" 2,"diff" a429BitRate 100000 100000 100000 100000 a429Trigger 0,"wordStart" 0,"wordStart" 0,"wordStart" 0,"wordStart" a429Base 0,"hex" 0,"hex" 0,"hex" 0,"hex" a429Format 0,"labelSdiDataSsm" 0,"labelSdiDataSsm" 0,"labelSdiDataSsm" 0,"labelSdiDataSsm" a429Label -1 -1 -1 -1 a429LabelMin 0 0 0 0 a429LabelMax 1 1 1 1 a429Data XXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXX a429Sdi XX XX XX XX a429Ssm XX XX XX XX usbDPosSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" usbDNegSrc 1,"ch2" 1,"ch2" 1,"ch2" 1,"ch2" usbHighSpeedSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" usbSpeed 1,"full" 1,"full" 1,"full" 1,"full" usbDecodeBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" usbSerTrig 0,"sop" 0,"sop" 0,"sop" 0,"sop" usbTrigBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" usbTokenPidBase 0,"out" 0,"out" 0,"out" 0,"out" usbDataPidBase 0,"data0" 0,"data0" 0,"data0" 0,"data0" usbHandshakePidBase 0,"ack" 0,"ack" 0,"ack" 0,"ack" usbSpecialPidBase 0,"pre" 0,"pre" 0,"pre" 0,"pre" usbPidCheck XXXX XXXX XXXX XXXX usbAddress XXXXXXX XXXXXXX XXXXXXX XXXXXXX usbEndpoint XXXX XXXX XXXX XXXX usbCrc XXXXX XXXXX XXXXX XXXXX usbData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX usbDataBytes 4 4 4 4 usbFrame XXXXXXXXXXX XXXXXXXXXXX XXXXXXXXXXX XXXXXXXXXXX usbHubAddr XXXXXXX XXXXXXX XXXXXXX XXXXXXX usbSc X X X X usbPort XXXXXXX XXXXXXX XXXXXXX XXXXXXX usbSeu XX XX XX XX usbEt XX XX XX XX sentSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" sentClkTick 4524193975976911956 4524193975976911956 4524193975976911956 4524193975976911956 sentClkTickTolerance 20 20 20 20 sentTrigTolerance 15 15 15 15 sentIdleState 1,"high" 1,"high" 1,"high" 1,"high" sentNumDataNibbles 6 6 6 6 SentPausePulse 0,"off" 0,"off" 0,"off" 0,"off" sentCrcFormat 1,"2010" 1,"2010" 1,"2010" 1,"2010" sentMsgForamt 0,"raw" 0,"raw" 0,"raw" 0,"raw" sentDisplayMode 0,"hex" 0,"hex" 0,"hex" 0,"hex" sentTrigger 0,"startOfMessage" 0,"startOfMessage" 0,"startOfMessage" 0,"startOfMessage" sentTrigEnhFmt 0,"Id4Data16" 0,"Id4Data16" 0,"Id4Data16" 0,"Id4Data16" sentTrigSlowId -1 -1 -1 -1 sentTrigSlowData -1 -1 -1 -1 sentTrigBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" sentTrigFastData XXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXX sentNumTrigNibbles 28 28 28 28 sentSBus1SigState 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" sentSBus2SigState 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" sentSBus1SigStartBit 0 0 0 0 0 0 sentSBus2SigStartBit 0 0 0 0 0 0 sentSBus1SigNumBits 1 1 1 1 1 1 sentSBus2SigNumBits 1 1 1 1 1 1 sentSBus1SigNibOrder 0,"msn" 0,"msn" 0,"msn" 0,"msn" 0,"msn" 0,"msn" sentSBus2SigNibOrder 0,"msn" 0,"msn" 0,"msn" 0,"msn" 0,"msn" 0,"msn" sentSBus1SigSlope 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 sentSBus2SigSlope 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 sentSBus1SigOffset 0 0 0 0 0 0 sentSBus2SigOffset 0 0 0 0 0 0 cxpiSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" cxpiTolerance 4 4 4 4 cxpiParityState 0,"off" 0,"off" 0,"off" 0,"off" cxpiBitRate 20000 20000 20000 20000 cxpiTrigger 0,"startOfFrame" 0,"startOfFrame" 0,"startOfFrame" 0,"startOfFrame" cxpiTrigFilterById 0,"off" 0,"off" 0,"off" 0,"off" cxpiTrigPtype 0,"notPresent" 0,"notPresent" 0,"notPresent" 0,"notPresent" cxpiTrigData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX cxpiTrigDlc -1 -1 -1 -1 cxpiTrigDataLen 12 12 12 12 cxpiTrigDataStart 0 0 0 0 cxpiTrigId XXXXXXX XXXXXXX XXXXXXX XXXXXXX cxpiTrigNm XX XX XX XX cxpiTrigCount XX XX XX XX mancSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" mancBitRate 125000 125000 125000 125000 mancTolerance 20 20 20 20 mancDispFormat 1,"wordFormat" 1,"wordFormat" 1,"wordFormat" 1,"wordFormat" mancStartEdgeNum 1 1 1 1 mancSyncSize 0 0 0 0 mancHeaderSize 0 0 0 0 mancWordSize 8 8 8 8 mancNumWords 0 0 0 0 mancTrailerSize 0 0 0 0 mancPolarity 1,"falling1" 1,"falling1" 1,"falling1" 1,"falling1" mancBitOrder 0,"msb" 0,"msb" 0,"msb" 0,"msb" mancMinIdleBits 4609434218613702656 4609434218613702656 4609434218613702656 4609434218613702656 mancDecodeBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" mancTrigger 0,"startOfFrame" 0,"startOfFrame" 0,"startOfFrame" 0,"startOfFrame" mancTrigValLength 8 8 8 8 mancVal XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX nrzSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" nrzBitRate 125000 125000 125000 125000 nrzDispFormat 1,"wordFormat" 1,"wordFormat" 1,"wordFormat" 1,"wordFormat" nrzNumStartBits 1 1 1 1 nrzHeaderSize 0 0 0 0 nrzWordSize 8 8 8 8 nrzNumWords 1 1 1 1 nrzTrailerSize 0 0 0 0 nrzTotalFrameSize 8 8 8 8 nrzPolarity 0,"high1" 0,"high1" 0,"high1" 0,"high1" nrzBitOrder 0,"msb" 0,"msb" 0,"msb" 0,"msb" nrzIdleState 0,"low" 0,"low" 0,"low" 0,"low" nrzMinIdleBits 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 nrzDecodeBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" nrzTrigger 0,"startOfFrame" 0,"startOfFrame" 0,"startOfFrame" 0,"startOfFrame" nrzTrigValLength 8 8 8 8 nrzVal XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX usbPdSrc 0,"ch1" 0,"ch1" 0,"ch1" 0,"ch1" usbPdTrigger 0,"preambleStart" 0,"preambleStart" 0,"preambleStart" 0,"preambleStart" usbPdHeaderType 0,"ctrlMsg" 0,"ctrlMsg" 0,"ctrlMsg" 0,"ctrlMsg" usbPdCtrlMsg 0,"goodCrc" 0,"goodCrc" 0,"goodCrc" 0,"goodCrc" usbPdDataMsg 0,"sourceCap" 0,"sourceCap" 0,"sourceCap" 0,"sourceCap" usbPdExtMsg 0,"sourceCapExt" 0,"sourceCapExt" 0,"sourceCapExt" 0,"sourceCapExt" usbPdQualifier 0,"none" 0,"none" 0,"none" 0,"none" usbPdBase 0,"hex" 0,"hex" 0,"hex" 0,"hex" usbPdTrigHeader XXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXX usbCompTest 0,"devHiSpeedSQ" usbCompTestType 1,"nearEnd" usbCompTestConn 1,"differential" usbCompDiffSrc 0,"ch1" usbCompDPlusSrc 1,"ch2" usbCompDNegSrc 2,"ch3" usbCompAdjSrc 0,"ch1" usbCompDispResults 0,"off" usbCompNumOfHubs 0 brickProbeA1Src -1 brickProbeA2Src -1 brickProbeB1Src -1 brickProbeB2Src -1 chan1On 1,"on" chan1TekProbe 71,"P5205" chan1SingleButtonMode 1,"headlight" chan1RSense 4591870180066957722 chan1PClampVolt 9218868437227405311 chan1NClampVolt -4503599627370497 chan1ProbeId 14 chan1ProbeSerialNumber <NA> chan1ProbeHead 0,"none" chan1ProbeDiffMode 0,"single" chan1LcapInfiniiMode 0,"differential" chan1ProbeAttenUnits 16,"ratio" chan1ProbeAtten 4607182418800017408 chan1ProbeAttenDecibel 4626322717216342016 chan1ProbeAttenAmp 4591870180066957722 chan1ProbeAttenIndex 0 chan1Units 1,"volt" chan1Coupling 1,"dc" chan1Impedance 1,"1M" chan1Scale 4602678819172646912 chan1Offset 4610109758557808231 chan1ScaleBot 4617315517961601024 chan1ScaleTop 4617315517961601024 chan1Position -4608758678669597081 chan1TekOffset 0 chan1TekPosition 0 chan1BwLimit 0,"off" chan1Invert 0,"off" chan1TrigNRej 0,"off" chan1TrigHfRej 0,"off" chan1TrigCoup 1,"dc" chan1TrigLevel 4610109758557808231 chan1TrigLevelHigh 4616921452994206106 chan1TrigLevelLow 0 chan1Label 1 chan1Skew 0 chan1Vernier 1,"on" chan1ProbeCaled 0,"off" chan1ExtScaling 0,"off" chan1ExtGainUnits 16,"ratio" chan1ExtGain 4607182418800017408 chan1ExtGainDecibel 0 chan1ExtGainAmp 4607182418800017408 chan2On 1,"on" chan2TekProbe 71,"P5205" chan2SingleButtonMode 1,"headlight" chan2RSense 4591870180066957722 chan2PClampVolt 9218868437227405311 chan2NClampVolt -4503599627370497 chan2ProbeId 14 chan2ProbeSerialNumber <NA> chan2ProbeHead 0,"none" chan2ProbeDiffMode 0,"single" chan2LcapInfiniiMode 0,"differential" chan2ProbeAttenUnits 16,"ratio" chan2ProbeAtten 4607182418800017408 chan2ProbeAttenDecibel 4626322717216342016 chan2ProbeAttenAmp 4591870180066957722 chan2ProbeAttenIndex 0 chan2Units 1,"volt" chan2Coupling 1,"dc" chan2Impedance 1,"1M" chan2Scale 4602678819172646912 chan2Offset 4610109758557808231 chan2ScaleBot 4617315517961601024 chan2ScaleTop 4617315517961601024 chan2Position -4608758678669597081 chan2TekOffset 0 chan2TekPosition 0 chan2BwLimit 0,"off" chan2Invert 0,"off" chan2TrigNRej 0,"off" chan2TrigHfRej 0,"off" chan2TrigCoup 1,"dc" chan2TrigLevel 4609850801579234427 chan2TrigLevelHigh 4616921452994206106 chan2TrigLevelLow 0 chan2Label 2 chan2Skew 0 chan2Vernier 1,"on" chan2ProbeCaled 0,"off" chan2ExtScaling 0,"off" chan2ExtGainUnits 16,"ratio" chan2ExtGain 4607182418800017408 chan2ExtGainDecibel 0 chan2ExtGainAmp 4607182418800017408 chan3On 0,"off" chan3TekProbe 71,"P5205" chan3SingleButtonMode 1,"headlight" chan3RSense 4591870180066957722 chan3PClampVolt 9218868437227405311 chan3NClampVolt -4503599627370497 chan3ProbeId 0 chan3ProbeSerialNumber <NA> chan3ProbeHead 0,"none" chan3ProbeDiffMode 0,"single" chan3LcapInfiniiMode 0,"differential" chan3ProbeAttenUnits 16,"ratio" chan3ProbeAtten 4607182418800017408 chan3ProbeAttenDecibel 0 chan3ProbeAttenAmp 4607182418800017408 chan3ProbeAttenIndex 0 chan3Units 1,"volt" chan3Coupling 1,"dc" chan3Impedance 1,"1M" chan3Scale 4617315517961601024 chan3Offset 0 chan3ScaleBot 4617315517961601024 chan3ScaleTop 4617315517961601024 chan3Position -9223372036854775808 chan3TekOffset 0 chan3TekPosition 0 chan3BwLimit 0,"off" chan3Invert 0,"off" chan3TrigNRej 0,"off" chan3TrigHfRej 0,"off" chan3TrigCoup 1,"dc" chan3TrigLevel 0 chan3TrigLevelHigh 4607182418800017408 chan3TrigLevelLow 0 chan3Label 3 chan3Skew 0 chan3Vernier 0,"off" chan3ProbeCaled 0,"off" chan3ExtScaling 0,"off" chan3ExtGainUnits 16,"ratio" chan3ExtGain 4607182418800017408 chan3ExtGainDecibel 0 chan3ExtGainAmp 4607182418800017408 chan4On 0,"off" chan4TekProbe 71,"P5205" chan4SingleButtonMode 1,"headlight" chan4RSense 4591870180066957722 chan4PClampVolt 9218868437227405311 chan4NClampVolt -4503599627370497 chan4ProbeId 0 chan4ProbeSerialNumber <NA> chan4ProbeHead 0,"none" chan4ProbeDiffMode 0,"single" chan4LcapInfiniiMode 0,"differential" chan4ProbeAttenUnits 16,"ratio" chan4ProbeAtten 4607182418800017408 chan4ProbeAttenDecibel 0 chan4ProbeAttenAmp 4607182418800017408 chan4ProbeAttenIndex 0 chan4Units 1,"volt" chan4Coupling 1,"dc" chan4Impedance 1,"1M" chan4Scale 4617315517961601024 chan4Offset 0 chan4ScaleBot 4617315517961601024 chan4ScaleTop 4617315517961601024 chan4Position -9223372036854775808 chan4TekOffset 0 chan4TekPosition 0 chan4BwLimit 0,"off" chan4Invert 0,"off" chan4TrigNRej 0,"off" chan4TrigHfRej 0,"off" chan4TrigCoup 1,"dc" chan4TrigLevel 0 chan4TrigLevelHigh 4607182418800017408 chan4TrigLevelLow 0 chan4Label 4 chan4Skew 0 chan4Vernier 0,"off" chan4ProbeCaled 0,"off" chan4ExtScaling 0,"off" chan4ExtGainUnits 16,"ratio" chan4ExtGain 4607182418800017408 chan4ExtGainDecibel 0 chan4ExtGainAmp 4607182418800017408 chanExtTekProbe 71,"P5205" chanExtProbeId 0 chanExtLcapInfiniiMode 0,"differential" chanExtRSense 4591870180066957722 chanExtProbeAttenUnits 16,"ratio" chanExtProbeAtten 4607182418800017408 chanExtProbeAttenDecibel 0 chanExtProbeAttenAmp 4607182418800017408 chanExtProbeAttenIndex 0 chanExtProbeHead 0,"none" chanExtUnits 1,"volt" chanExtRange 4620693217682128896 chanExtCoupling 1,"dc" chanExtTrigLevel 0 mathMasterState 0,"off" fftMasterState 0,"off" math1On 1,"on" math1Op 0,"add" math1MeasTrendMeas 0,"meas1" math1MeasLogMeas 0,"meas1" math1Src1 0,"ch1" math1Src2 1,"ch2" math1PlotBusYUnits 1,"volt" math1PlotBusYOrg 0 math1PlotBusYInc 4562254508917369340 math1LinearGain 4607182418800017408 math1LinearOffset 0 math1FftVertType 0,"decibels" math1Scale 4607182418800017408 math1Offset 0 math1FftDispMode 0,"normal" math1FftDetType 0,"none" math1DetLength 8 math1FftSpan 4681608360884174848 math1FftCenter 4677104761256804352 math1FftWindow 2,"hanning" math1FftInhibitWrap 0,"off" math1FftWrapFreq 0 math1FftPhaseRef 1,"trigger" math1FftWgt 1,"res" math1FftWgt2 0,"smplRate" math1FreqLeftParam 0,"span" math1FreqRightParam 0,"center" math1LowPassBw 4730986895511650304 math1HighPassBw 4730986895511650304 math1BandPassCenter 4730986895511650304 math1BandPassWidth 4726483295884279808 math1IntOffsetCorrect 0 math1IntInitialCondition 1,"on" math1MeasLogMaxLength 640 math1MeasLogMinTime 0 math1MeasLogIntrp 0 math1PlotBusClock 10,"d0" math1PlotBusSlope 1,"positive" math1AverCount 8 math1UsrFiltNumTaps 4 Math1UsrFiltTaps 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 math1UsrFiltDlyIdx 0 math1UsrFiltIntrpFact 4 math1UsrFiltNorm 0,"off" math1SmoothingPoints 5 math1ZoomOnly 0,"normal" math1Label MATH1 math1Vernier 0,"off" math1RunMode 0,"auto" math1SPlotBusSrc -1 math1SPlotSentSigSrc 0,"signal1" math1CanSymbolicMsgIndex 0 0 0 0 math1CanSymbolicSigIndex 0 0 0 0 math1LinSymbolicFrmIndex 0 0 0 0 math1LinSymbolicSigIndex 0 0 0 0 math2On 0,"off" math2Op 0,"add" math2MeasTrendMeas 0,"meas1" math2MeasLogMeas 0,"meas1" math2Src1 0,"ch1" math2Src2 1,"ch2" math2PlotBusYUnits 1,"volt" math2PlotBusYOrg 0 math2PlotBusYInc 4562254508917369340 math2LinearGain 4607182418800017408 math2LinearOffset 0 math2FftVertType 0,"decibels" math2Scale 4607182418800017408 math2Offset 0 math2FftDispMode 0,"normal" math2FftDetType 0,"none" math2DetLength 8 math2FftSpan 4681608360884174848 math2FftCenter 4677104761256804352 math2FftWindow 2,"hanning" math2FftInhibitWrap 0,"off" math2FftWrapFreq 0 math2FftPhaseRef 1,"trigger" math2FftWgt 1,"res" math2FftWgt2 0,"smplRate" math2FreqLeftParam 0,"span" math2FreqRightParam 0,"center" math2LowPassBw 4730986895511650304 math2HighPassBw 4730986895511650304 math2BandPassCenter 4730986895511650304 math2BandPassWidth 4726483295884279808 math2IntOffsetCorrect 0 math2IntInitialCondition 1,"on" math2MeasLogMaxLength 640 math2MeasLogMinTime 0 math2MeasLogIntrp 0 math2PlotBusClock 10,"d0" math2PlotBusSlope 1,"positive" math2AverCount 8 math2UsrFiltNumTaps 4 Math2UsrFiltTaps 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 math2UsrFiltDlyIdx 0 math2UsrFiltIntrpFact 4 math2UsrFiltNorm 0,"off" math2SmoothingPoints 5 math2ZoomOnly 0,"normal" math2Label MATH2 math2Vernier 0,"off" math2RunMode 0,"auto" math2SPlotBusSrc -1 math2SPlotSentSigSrc 0,"signal1" math2CanSymbolicMsgIndex 0 0 0 0 math2CanSymbolicSigIndex 0 0 0 0 math2LinSymbolicFrmIndex 0 0 0 0 math2LinSymbolicSigIndex 0 0 0 0 math3On 1,"on" math3Op 0,"add" math3MeasTrendMeas 0,"meas1" math3MeasLogMeas 0,"meas1" math3Src1 0,"ch1" math3Src2 1,"ch2" math3PlotBusYUnits 1,"volt" math3PlotBusYOrg 0 math3PlotBusYInc 4562254508917369340 math3LinearGain 4607182418800017408 math3LinearOffset 0 math3FftVertType 0,"decibels" math3Scale 4626322717216342016 math3Offset -4589730970243956736 math3FftDispMode 0,"normal" math3FftDetType 0,"none" math3DetLength 8 math3FftSpan 4681608360884174848 math3FftCenter 4677104761256804352 math3FftWindow 2,"hanning" math3FftInhibitWrap 0,"off" math3FftWrapFreq 0 math3FftPhaseRef 1,"trigger" math3FftWgt 1,"res" math3FftWgt2 0,"smplRate" math3FreqLeftParam 0,"span" math3FreqRightParam 0,"center" math3LowPassBw 4730986895511650304 math3HighPassBw 4730986895511650304 math3BandPassCenter 4730986895511650304 math3BandPassWidth 4726483295884279808 math3IntOffsetCorrect 0 math3IntInitialCondition 1,"on" math3MeasLogMaxLength 640 math3MeasLogMinTime 0 math3MeasLogIntrp 0 math3PlotBusClock 10,"d0" math3PlotBusSlope 1,"positive" math3AverCount 8 math3UsrFiltNumTaps 4 Math3UsrFiltTaps 4607182418800017408 4607182418800017408 4607182418800017408 4607182418800017408 math3UsrFiltDlyIdx 0 math3UsrFiltIntrpFact 4 math3UsrFiltNorm 0,"off" math3SmoothingPoints 5 math3ZoomOnly 1,"gateByZoom" math3Label FFT math3Vernier 0,"off" math3RunMode 0,"auto" math3SPlotBusSrc -1 math3SPlotSentSigSrc 0,"signal1" math3CanSymbolicMsgIndex 0 0 0 0 math3CanSymbolicSigIndex 0 0 0 0 math3LinSymbolicFrmIndex 0 0 0 0 math3LinSymbolicSigIndex 0 0 0 0 wfmMemMasterState 0,"off" wfmMemSel 0,"r1" wfmMemSrc 0,"ch1" wfmMemFileSaveSrc 0,"ch1" wfmMemLoadFileMemSel 0,"r1" wfmMemInfoEnable 1,"on" wfmMemVecSetOffNeeded 0,"off" wfmMemRunSetOnNeeded 0,"off" wfmMem1State 0,"off" wfmMem1Loaded 0,"off" wfmMem1Label REF1 wfmMem1YUnits 1,"volt" wfmMem1YVernier 0,"off" wfmMem1YScale 4617315517961601024 wfmMem1YOffset 0 wfmMem1XUnits 3,"second" wfmMem1XVernier 0,"off" wfmMem1XScale 4547007122018943789 wfmMem1XPosition 0 wfmMem1XSkew 0 wfmMem2State 0,"off" wfmMem2Loaded 0,"off" wfmMem2Label REF2 wfmMem2YUnits 1,"volt" wfmMem2YVernier 0,"off" wfmMem2YScale 4617315517961601024 wfmMem2YOffset 0 wfmMem2XUnits 3,"second" wfmMem2XVernier 0,"off" wfmMem2XScale 4547007122018943789 wfmMem2XPosition 0 wfmMem2XSkew 0 measState 0,"off" nextMeasSrc1 0,"ch1" nextMeasAlg 0,"freq" nextMeasSrc2 1,"ch2" nextMeasSrc3 1,"ch2" nextMeasSrc4 1,"ch2" nextMeasSrc1Slope 1,"positive" nextMeasSrc2Slope 1,"positive" nextMeasSrc3Slope 1,"positive" nextMeasSrc4Slope 1,"positive" nextMeasSrc1Count 0 nextMeasSrc2Count 0 nextMeasSrc3Count 0 nextMeasSrc4Count 0 nextUnits 3,"second" nextFilterState 0,"off" nextFilterType 0,"low" nextFilterShape 0,"rec" nextStartFreq 4669471951536783360 nextStopFreq 4669416975955394560 nextNEvents 1 nextMeasEyeWindowStart 40 nextMeasEyeWindowStop 39 nextMeasStartHits 1 nextMeasEyeJitterType 0,"rms" nextMeasCenter 4666723172467343360 nextMeasSpan 4652007308841189376 nextMeasChanWidth 4652007308841189376 nextMeasPercent 4636666922610458624 nextMeasTime 0 nextMeasOffset 4652007308841189376 nextMeasBands 5 nextMeasHarmonicTrack 0,"auto" nextMeasFundamental 4666723172467343360 nextMeasChannelSelect 0,"main" nextMeasSrcThresh1 1,"threshMiddle" nextMeasSrcThresh2 1,"threshMiddle" nextMeasSrcThresh3 1,"threshMiddle" nextMeasSrcThresh4 1,"threshMiddle" measSrc1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 measSrc2 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 measSrc3 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 measSrc4 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 measAlg -1,"none" -1,"none" -1,"none" -1,"none" -1,"none" -1,"none" -1,"none" -1,"none" -1,"none" -1,"none" measUid 0 0 0 0 0 0 0 0 0 0 measSrc1Slope 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" measSrc2Slope 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" measSrc3Slope 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" measSrc4Slope 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" 1,"positive" measSrc1Count 0 0 0 0 0 0 0 0 0 0 measSrc2Count 0 0 0 0 0 0 0 0 0 0 measSrc3Count 0 0 0 0 0 0 0 0 0 0 measSrc4Count 0 0 0 0 0 0 0 0 0 0 measSrcThresh1 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" measSrcThresh2 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" measSrcThresh3 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" measSrcThresh4 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" 1,"threshMiddle" measXInput 0 0 0 0 0 0 0 0 0 0 measYInput 0 0 0 0 0 0 0 0 0 0 measUnits 3,"second" 3,"second" 3,"second" 3,"second" 3,"second" 3,"second" 3,"second" 3,"second" 3,"second" 3,"second" measFilterState 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" measFilterType 0,"low" 0,"low" 0,"low" 0,"low" 0,"low" 0,"low" 0,"low" 0,"low" 0,"low" 0,"low" measFilterShape 0,"rec" 0,"rec" 0,"rec" 0,"rec" 0,"rec" 0,"rec" 0,"rec" 0,"rec" 0,"rec" 0,"rec" measStartFreq 4669471951536783360 4669471951536783360 4669471951536783360 4669471951536783360 4669471951536783360 4669471951536783360 4669471951536783360 4669471951536783360 4669471951536783360 4669471951536783360 measStopFreq 4699193262664056832 4699193262664056832 4699193262664056832 4699193262664056832 4699193262664056832 4699193262664056832 4699193262664056832 4699193262664056832 4699193262664056832 4699193262664056832 measNEvents 1 1 1 1 1 1 1 1 1 1 measEyeWindowStart 40 40 40 40 40 40 40 40 40 40 measEyeWindowStop 40 40 40 40 40 40 40 40 40 40 measStartHits 1 1 1 1 1 1 1 1 1 1 measEyeJitterType 0,"rms" 0,"rms" 0,"rms" 0,"rms" 0,"rms" 0,"rms" 0,"rms" 0,"rms" 0,"rms" 0,"rms" measCenter 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 measSpan 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 measChanWidth 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 measPercent 4636666922610458624 4636666922610458624 4636666922610458624 4636666922610458624 4636666922610458624 4636666922610458624 4636666922610458624 4636666922610458624 4636666922610458624 4636666922610458624 measTime 0 0 0 0 0 0 0 0 0 0 measOffset 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 4652007308841189376 measBands 5 5 5 5 5 5 5 5 5 5 measHarmonicTrack 0,"auto" 0,"auto" 0,"auto" 0,"auto" 0,"auto" 0,"auto" 0,"auto" 0,"auto" 0,"auto" 0,"auto" measFundamental 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 4666723172467343360 measChannelSelect 0,"main" 0,"main" 0,"main" 0,"main" 0,"main" 0,"main" 0,"main" 0,"main" 0,"main" 0,"main" measDefThresholdSrc 0,"ch1" measDefThresholdType 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" 0,"percent" measDefThresholdPercentTop 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 4636033603912859648 measDefThresholdPercentMid 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 4632233691727265792 measDefThresholdPercentBot 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 4621819117588971520 measDefThresholdAbsoluteTop 4624633867356078080 4624633867356078080 4609434218613702656 4609434218613702656 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 4609434218613702657 measDefThresholdAbsoluteMid 4622945017495814144 4622945017495814144 4608083138725491507 4608083138725491507 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 4608083138725491508 measDefThresholdAbsoluteBot 4620693217682128896 4620693217682128896 4605380978949069210 4605380978949069210 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 4605380978949069209 addParam 1,"src" editParam 1,"src" 1,"src" 1,"src" 1,"src" 1,"src" 1,"src" 1,"src" 1,"src" 1,"src" 1,"src" editMeasSelect -1,"none" clearMeasSelect 0,"meas1" measAllEdges 0,"off" measWindow 2,"auto" measSnapshotSrc 0,"ch1" measSnapshotAlg 0,"freq" relativeStdDev 0,"off" measStatsLength 2001 markerState 0,"off" markerMode 5,"meas" markerMeasId -1,"none" markerDualX 0 markerDualY 0 marker1Src 0,"ch1" marker1DigSrc 10,"D0" marker1XOn 1,"on" marker1X 0 marker1YOn 1,"on" marker1Y 0 marker2Src 0,"ch1" marker2XOn 1,"on" marker2X 0 marker2YOn 1,"on" marker2Y 0 markerXUnits 0,"seconds" markerYUnits 0,"base" markerXRatioStart -4670124727192147460 markerXRatioEnd 4553247309662628348 markerYRatioStart 4612811918334230528 markerYRatioEnd -4610560118520545280 rasterMarker1X 0 rasterMarker2X 0 rasterMarker1Y 0 rasterMarker2Y 0 markerSelect 0,"x1" auxOutput 0,"trigOut" auxOutputDc 0 acqMode 0,"normal" digitizerState 0,"off" wantedSampleRate 4743029687993761792 wantedPoints 2000000 avgCount 8 dvmsState 0,"off" dvmSrc 0,"ch1" wantedSegments 2 indexedTimeTag 0 realtime 1,"realtime" acqRefSignalModeSel 0,"off" acqRefSignalMode 0,"off" acqComplete 100 updateRate -1 avgfast 0,"off" waveByteOrder 0,"lsbf" waveFormat 0,"byte" wavePointsMode 2,"raw" waveSrc 1,"ch2" waveUnsignedMode 1,"on" waveView 0,"main" readAllSegmentsState 0,"off" dvmMode 1,"dc" dvmsAutoRange 0,"off" dvmLowerLimit 0 dvmUpperLimit 4607182418800017408 dvmLimitRange 0,"beepWithinLimits" counterState 0,"off" counterSrc 0,"ch1" counterMode 0,"frequency" counterNumDigits 5 counterGateState 0,"off" counterGateSrc 0,"ch1" counterGatePolarity 1,"positive" counterTotalizeSlope 1,"positive" counterThreshSrc 0,"ch1" ansRecLengthAuto 1,"on" ansRecLength 65536 gratType 0,"full" gratInten 20 persistMode 0,"off" persistTime 4607182418800017408 vectors 1,"on" labels 0,"off" labelOffset 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 traceInten 50 zOrder   !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~ freezeWfmDispState 0,"off" listerMode 0,"serial1" serialListerWindow 0,"off" listerSerialBus 0,"serial1" serialListerLockToTime 1,"on" serialListerTimeFormat 0,"trigger" backlightLevel 0,"high" annotationText annotationDisp 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" annotationSelect 0,"annotation1" annotationTextColor 1,"channel1" 2,"channel2" 3,"channel3" 4,"channel4" 0,"white" 0,"white" 0,"white" 0,"white" 0,"white" 0,"white" annotationBgMode 0,"solid" 0,"solid" 0,"solid" 0,"solid" 0,"solid" 0,"solid" 0,"solid" 0,"solid" 0,"solid" 0,"solid" annotationX1Pos 34 34 34 34 34 354 354 354 354 354 annotationY1Pos 37 87 137 187 237 37 87 137 187 237 wfmZoomState 0,"nothing" pwrListerWindow 0,"off" sidebarCtrlsDisp 1,"on" 1,"on" 0,"off" 1,"on" 1,"on" 0,"off" 1,"on" 1,"on" 1,"on" 0,"off" 0,"off" sidebarCtrlsSel 0,"runStop" tableView 0,"off" axisLabelState 1,"on" colorGradeSrc 0,"ch1" colorGradeState 0,"off" colorGradeTheme 0,"temp" colorGradeShowStats 0,"off" rasterSrc 0,"ch1" rasterState 0,"off" rasterHSyncFreqGranularity 1,"horzFreq" rasterHSyncFreq 4669884268397199360 rasterFullScreenVSyncOffset 0 rasterCursorGatedVSyncXOffset 0 rasterGatedCursorVSync 0,"off" rasterSize 1,"small" rasterAvg 1 configIoSelect 1,"lan" instGpibAddr 7 ipSelect 0,"ip" lanUserName maskTestState 0,"off" maskTestShowStats 1,"on" maskTestRunUntil 0,"forever" maskTestRunUntilTime 4607182418800017408 maskTestRunUntilNumTests 4652007308841189376 maskTestRunUntilSigma 4618441417868443648 maskTestPrintOnError 0,"off" maskTestSaveOnError 0,"off" maskTestMeasOnError 0,"off" maskTestStopOnError 0,"off" maskTestSrc 0,"ch1" maskTestSrcLock 1,"on" maskTestAllChans 0,"off" maskTestScalingEnable 1,"on" maskTestBindY 0,"off" maskTestY1 -4609434218613702655 maskTestY2 4613937818241073153 maskTestX 4551510721646314285 maskTestDeltaX 4556014321273684781 maskTestAutoUnits 0,"div" maskTestAutoVertDiv 4598175219545276416 maskTestAutoHorzDiv 4598175219545276416 maskTestAutoVertVolt 4608308318706860032 maskTestAutoHorzTime 4537999922764202797 MaskRegionSel 0 maskTitle MaskRegionNumVertices 0 0 0 0 0 0 0 0 MaskRegion0XVertices MaskRegion0YVertices MaskRegion1XVertices MaskRegion1YVertices MaskRegion2XVertices MaskRegion2YVertices MaskRegion3XVertices MaskRegion3YVertices MaskRegion4XVertices MaskRegion4YVertices MaskRegion5XVertices MaskRegion5YVertices MaskRegion6XVertices MaskRegion6YVertices MaskRegion7XVertices MaskRegion7YVertices demoWgenAutoDemo 0,"sine" miscSignal 0,"sineOnDemoLug" demoSignal 0,"sine" demoPhase 1119092736 demoWfmClkRate 4704770604115427328 demoWfmGlitchRate 1000000 demoPsrrFreq 4652007308841189376 demoPsrrAmpGain 4605380978949069210 demoZoneWfmFreq 4679056394396106752 demoZoneWfmGlitchRate 1000 demoRuntWfmFreq 4671226772094713856 demoRuntWfmRuntRate 3 demoDisableMathAutoscale 0,"off" wavegenEzDemoActive 0,"off" chan1UnitsOverride 34,"none" chan2UnitsOverride 34,"none" chan3UnitsOverride 34,"none" chan4UnitsOverride 34,"none" chanExtUnitsOverride 34,"none" chan1ProbeAttenOverride 4607182418800017408 chan2ProbeAttenOverride 4607182418800017408 chan3ProbeAttenOverride 4607182418800017408 chan4ProbeAttenOverride 4607182418800017408 chanExtProbeAttenOverride 4607182418800017408 fgenHorzParam 0,"frequency" fgenVoltParam 0,"amp" fgenOffsetParam 0,"offset" fgenPulseWidthFine 1,"pulseWidthFine" arbVoltVern 0,"volt" arbPointVern 0,"point" arbTransparent 0,"off" arbSelect 0,"wgen1" arbRecallColumn 2 wgen1Track 0,"off" wgen1FreqTrack 0,"off" wgen1AmpTrack 0,"off" wavegen1Mode 0,"off" fgen1Signal 0,"sine" fgen1Impedance 0,"highImp" fgen1Amplitude 4602678819172646912 fgen1Offset 0 fgen1Frequency 4652007308841189376 fgen1Period 4562254508917369340 fgen1DutyCycle 50 fgen1Symmetry 50 fgen1PulseWidth 4547007122018943789 fgen1AddNoise 0 fgen1InvertOutput 0,"off" wgen1OutputMode 0,"normal" wgen1Phase 0 wgen1ModState 0,"off" wgen1ModType 0,"am" wgen1ModSignal 0,"sine" wgen1ModAmDepth 100 wgen1ModSymmetry 50 wgen1ModAmFreq 4652007308841189376 wgen1ModFmFreq 4652007308841189376 wgen1ModFmDev 4666723172467343360 wgen1ModFskHopFreq 4652007308841189376 wgen1ModFskRate 4652007308841189376 arb1Interpolate 0,"off" arb1Src -1 arb1InitialPts 2 wgen1Features 2,"modulation" selectRecallType 0,"setup" dlgMainPos 0 0 remoteLogFileName scpi_log counterAutoScaleOverride 0,"off" printDriver 17,"usbPrt0" printFactors 0,"off" printPalette 0,"color" printInvertGrat 1,"on" printFormFeed 0,"off" printLandscape 0,"off" networkPrintDomain networkPrintUsername networkPrintAddrIndex 0,"networkPrinter0" networkPrintAddress usingBattery 0,"off" saveFormat 0,"setup" dataLengthMax 0,"off" autoIncrement 1,"on" savePalette 0,"color" saveFactors 0,"off" saveInvertGrat 0,"off" albFormat 0,"default" saveArea 0,"screen" printArea 0,"screen" saveSegmentMode 0,"current" analyzeSelect 0,"dvm" touchScreenState 1,"on" setupFileLabel <None> <None> <None> <None> <None> <None> <None> <None> <None> <None> emailTo emailToValid 0,"off" emailFrom emailFromValid 0,"off" emailAddrHistory emailToHistorySel 0,"emailAddrHistory0" emailFromHistorySel 0,"emailAddrHistory0" emailSubject emailServer emailServerValid 0,"off" remoteLogDest 2,"fileAndScreen" remoteLogWriteMode 0,"create" remoteLogScreenDisp 0,"off" remoteLogTransparent 1,"on" saveAnalysisState 1,"on" 1,"on" 1,"on" 1,"on" 1,"on" 1,"on" 1,"on" saveAnalysisSel 0,"cursor" selectVoiceLang 0,"none" selectLang 0,"none" searchState 0,"off" searchMode 1,"edge" searchSerialMuxIndex 0,"serial1" searchUartMode 2,"rxData" searchUartDataQual 2,"equal" searchUartData 0 searchSpiMode 0,"mosi" searchSpiWordCnt 1 searchSpiBitData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX searchI2cMode 6,"noAck" searchI2cAddr -1 searchI2cDataQual 2,"equal" searchI2cData -1 searchI2cData2 -1 searchCanMode 0,"rtr" searchCanSymbolicMsgIndex 0 searchCanSymbolicSigIndex 0 searchCanSymbolicValType 1,"encoded" searchCanSymbolicValIndex 0 searchCanSymbolicVal 0 searchCanSymbolicValUnit searchCanSymbolicData XXXXXXXXXXXXXXXX searchCanSymbolicAddr XXXXXXXX searchCanAddrMode 0,"std" searchCanAddrLength 3 searchCanAddr XXXXXXXX searchCanDataLength 4 searchCanData XXXXXXXXXXXXXXXX searchLinMode 1,"id" searchLinFrameId -1 searchLinDataLength 4 searchLinData XXXXXXXXXXXXXXXX searchLinSymbolicFrmIndex 0 searchLinSymbolicSigIndex 0 searchLinSymbolicValType 1,"encoded" searchLinSymbolicValIndex 0 searchLinSymbolicVal 0 searchLinSymbolicValUnit searchLinSymbolicData XXXXXXXXXXXXXXXX searchLinSymbolicAddr XXXXXXXX searchI2sAudioChan 2,"left" searchI2sMode 0,"equal" searchI2sBase 3,"dec" searchI2sTrigWordSize 4 searchI2sData 00000000000000000000000000000000 searchI2sRangeMin 11110110000000000000000000000000 searchI2sRangeMax 00001010000000000000000000000000 searchI2sBitsDefine 2,"data" searchM1553Mode 2,"csStart" searchM1553Rta 0 searchM1553Data 00000000000 searchFrayMode 0,"frameId" searchFrayFrameId 0 searchFrayCycNum -1 searchFrayDataLength 1 searchFrayData XXXXXXXXXXXXXXXXXXXXXXXX searchA429Mode 2,"label" searchA429Label -1 searchA429Data 00000000000000000000000 searchA429Sdi 00 searchA429Ssm 00 searchUsbSerTrig 5,"tokenPacket" searchUsbTokenPidBase 0,"out" searchUsbDataPidBase 0,"data0" searchUsbHandshakePidBase 0,"ack" searchUsbSpecialPidBase 0,"pre" searchUsbAddress XXXXXXX searchUsbEndpoint XXXX searchUsbCrc XXXXX searchUsbData XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX searchUsbDataBytes 4 searchUsbFrame XXXXXXXXXXX searchUsbHubAddr XXXXXXX searchUsbSc X searchUsbPort XXXXXXX searchUsbSeu XX searchUsbEt XX searchSentMode 9,"fastChannelData" searchSentSlowId -1 searchSentSlowData -1 searchSentFastData XXXXXXXXXXXXXXXXXXXXXXXXXXXX searchSentNumNibbles 28 searchWfmEdgeSrc 0,"ch1" searchWfmEdgeSlope 1,"positive" searchWfmGlitchSrc 0,"ch1" searchWfmGlitchPolarity 1,"positive" searchWfmGlitchMode 1,"lt" searchWfmGlitchMinWidth 4491629857959087162 searchWfmGlitchMaxWidth 4494622300311939371 searchWfmGlitchRangeMinWidth 4491629857959087162 searchWfmGlitchRangeMaxWidth 4494622300311939372 searchWfmEdgeTransSrc 0,"ch1" searchWfmEdgeTransSlope 1,"positive" searchWfmEdgeTransQual 1,"lt" searchWfmEdgeTransQualTime 4491629857959087162 searchWfmRuntSrc 0,"ch1" searchWfmRuntPolarity 1,"positive" searchWfmRuntQual 0,"none" searchWfmRuntQualTime 4491629857959087162 searchWfmPeakSrc 26,"f1" SearchWfmPeakNumPeaks 8 SearchWfmPeakOrderMode 1,"yOrder" searchWfmPeakThresh -4597049319638433792 searchWfmPeakHyst 4626322717216342016 searchActive 0,"off" navigateMode 1,"time" navigateAutoZoom 1,"on" navigatePlayMode 0,"manual" navigateMarkerSelect 0,"x1" pwrAnalysisMode 0,"currentHarmonics" pwrVoltSrc1 0,"ch1" pwrCurrSrc1 1,"ch2" pwrVoltSrc2 1,"ch2" pwrCurrSrc2 3,"ch4" pwrQualityCycles 2 pwrHarmonicsCycles 20 pwrSwLossCycles 1 pwrSlewRateCycles 1 pwrEffCycles 2 pwrQualityMeas 50,"powerFactor" pwrLineFreq 3,"auto" pwrHarmonicsStd 0,"classA" pwrHarmonicsDisp 2,"table" pwrClassDDisp 0,"rms" pwrHarmonicsInput 0,"measured" pwrHarmonicsInputUser 4634626229029306368 pwrXSrc 0,"voltage" pwrSwLossVoltRef 5 pwrSwLossCurrRef 5 pwrSwLossConduct 0,"voltage" pwrSwLossRds 4576918229304087675 pwrSwLossVce 4587366580439587226 pwrInrushExp 4630122629401935872 pwrInputType 0,"ac" pwrInrushMaxVolt 4630826316843712512 pwrTurnOnMaxVolt 4630826316843712512 pwrTurnOffMaxVolt 4630826316843712512 pwrTurnOnSteadyVolt 4622945017495814144 pwrTurnOffSteadyVolt 4622945017495814144 pwrTransientSteadyVolt 4622945017495814144 pwrTurnOnInputThresh 4621819117588971520 pwrTurnOnOutputThresh 4636033603912859648 pwrTurnOffInputThresh 4621819117588971520 pwrTurnOffOutputThresh 4621819117588971520 pwrModulationMeas 0,"freq" pwrModulationDuration 4576918229304087675 pwrTurnOnDuration 4602678819172646912 pwrTurnOffDuration 4607182418800017408 pwrOutputDuration 4557750909289998844 pwrTransientDuration 4506651814115716936 pwrEffDuration 4591870180066957722 pwrOvershoot 10 pwrTurnOnOff 1,"on" pwrTransInitial 4588807732320345784 pwrTransNew 4596914211649612677 pwrEffIo 2,"acToDc" pwrMaxRatio 4636737291354636288 pwrChan1OffsetCalFactor 5175373388582465391 pwrChan2OffsetCalFactor 5175373388582465391 pwrChan3OffsetCalFactor 5175373388582465391 pwrChan4OffsetCalFactor 5175373388582465391 pwrOffsetCalRequired 1,"on" pwrHarmonicsIndex 1 bodeMuxIndex 2 bodeSrc1 0,"ch1" 0,"ch1" 0,"ch1" bodeSrc2 1,"ch2" 1,"ch2" 1,"ch2" bodeFreqMode 0,"sweep" 0,"sweep" 0,"sweep" bodeMinFreq 4636737291354636288 4636737291354636288 4636737291354636288 bodeMaxFreq 4716133919349538816 4716133919349538816 4716133919349538816 bodeFreq 4636737291354636288 4636737291354636288 4636737291354636288 bodeGuiMinFreq 4636737291354636288 4636737291354636288 4636737291354636288 bodeGuiMaxFreq 4716133919349538816 4716133919349538816 4716133919349538816 bodeImp 1,"50Ohm" 1,"50Ohm" 1,"50Ohm" bodeAmp 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp1 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp2 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp3 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp4 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp5 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp6 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp7 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmp8 4596373779694328218 4596373779694328218 4596373779694328218 bodeAmpProfileState 0,"off" 0,"off" 0,"off" bodeAmpProfileSel 1,"20Hz" bodeGainYScale 4624633867356078080 4624633867356078080 4624633867356078080 bodeGainYOffset 0 0 0 bodePhaseYScale 4636033603912859648 4636033603912859648 4636033603912859648 bodePhaseYOffset 0 0 0 bodeFftLength 1024 bodeWfmCycles 4 bodeSweepPts 60 60 60 bodePtsPerDecade 11 11 11 bodeAvgCount 8 16 32 64 128 256 bodeMinFreqAdjMode 0,"normal" bodeMaxFreqAdjMode 0,"normal" bodePsrrTrace 1,"on" 1,"on" bodeClrTrace 1,"on" 1,"on" bodeFraTrace 1,"on" 1,"on" bodeDlgTab 0,"setup" 0,"setup" 0,"setup" bodeChartCtrls 3,"marker" 3,"marker" 3,"marker" limitTestEnable 0,"off" LimitTestState 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" 0,"off" LimitTestLowerLimit 0 0 0 0 0 0 0 0 0 0 LimitTestUpperLimit 4562254508917369340 4562254508917369340 4562254508917369340 4562254508917369340 4562254508917369340 4562254508917369340 4562254508917369340 4562254508917369340 4562254508917369340 4562254508917369340 limitTestMeasSelect 0,"meas1" limitTestCopyMargin 0 0 0 0 0 0 0 0 0 0 LimitTestFailMode 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" 0,"outsideLimits" limitTestStopOnFailure 0,"off" limitTestDisplayStats 0,"off"